欢迎来到冰豆网! | 帮助中心 分享价值,成长自我!
冰豆网
全部分类
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • 党团工作>
  • ImageVerifierCode 换一换
    首页 冰豆网 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    EDA数字电压表设计报告Word文档下载推荐.docx

    • 资源ID:19272079       资源大小:191.46KB        全文页数:11页
    • 资源格式: DOCX        下载积分:12金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要12金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA数字电压表设计报告Word文档下载推荐.docx

    1、1、A/D转换控制模块 5ADC0809 工作原理 5ADC0809 工作时序 6CPLD 控制ADC采样状态机 62、模拟电压的计算 6码制变换模块 63、显示控制及驱动模块 74、ADC0809引脚连接 8五、软件设计 91、 开发软件及编程语言简介 92、 程序流程图 93、结论 9六、总结设计的收获与体会 . 9附录 1 程序清单、绪 论数字电压表(Digital Voltmeter )简称DVM是大学物理教学和实验中的 重要仪表,其数字化是指将连续的模拟电压量转换成不连续、 离散的数字量并加 以显示。传统的实验用模拟电压表功能单一、精度低、体积大,且存在读数时的 视差,长时间连续使用

    2、易引起视觉疲劳, 使用中存在诸多不便。 而目前数字万用 表的内部核心多是模数转换器, 其精度很大程度上限制了整个表的准确度, 可 靠性较差。传统的数字电压表设汁通常以大规模 ASIC(专用集成电路)为核心器件,并辅以少量中规模集成电路及显示器件构成。 ASIC 完成从模拟量的输入到数字量 的输出,是数字电压表的心脏。这种电压表的设计简单、精确度高,但是这种设 计方法由于采用了 ASIC器件使得它欠缺灵活性,其系统功能固定,难以更新扩 展。后来发展起来的用微处理器 (单片机)控制通用 A/D 转换器件的数字电压表的 设计的灵活性明显提高, 系统功能的扩展变得简单, 但是由于微处理器的引脚数 量有

    3、限,其控制转换速度和灵活性还是不能满足日益发展的电子工业的需求。 而 应用EDA技术及FPGA其集成度高、速度快、性能十分可靠、用户可自由编程 且编程语言通俗易懂、系统功能扩展非常方便。采用 FPGA芯片控制通用A/D转换器可使速度、灵活性大大优于由微处理器和通用 A/D 转换器构成的数字电压 表。本设计的A/D转换器件选用ADC0809寸模拟电压采样,以一片高性能 FPGA 芯片为控制核心, 以软件实现了诸多硬件功能, 对电压信号的转换结果进行准确 实时的运算处理并送出显示。 系统的主要功能都集成在一块芯片上, 大大减少了 系统的分立元件数量, 降低了功耗, 增加了可靠性, 较好地实现了电压

    4、的精准测 量。、设计要求设计一个数字电压表, 利用 8 位 A/D 转换器,将连续的模拟电信号转换成离 散的数字电信号,并加以显示,要求其量程为 0-5V,分辨率约为0.02V,三位数 码管显示,其中一位为整数,两位为小数,能正确显示小数点。三、设计过程1、系统总体框图图1.4系统总体框图2、数字电压表的基本原理数字电压表系统由A/D转换控制模块、数据转换模块、动态扫描与译码模块 三部分构成。A/D转换控制模块控外部A/D转换器,动态扫描与译码模块向外部 数码管显示电路输出数据。A/D转换器负责采集模拟电压,转换成 8位数字信号送入CPLD的A/D转换 控制模块,A/D转换控制模块负责A/D转

    5、换的启动、地址锁存、输入通道选择、 数据读取等工作,数据转换模块将 8位二进制数据转换成16位十进制BCD码送 入动态扫描与译码模块,最后通过数码管显示当前电压值。四、单元电路设计1、A/D转换控制模块作为A/D转换器的ADC0809片内有8路模拟开关,分辨率为8位,转换时 间约100us,含锁存控制的8路多路开关,输出由三态缓冲器控制,单 5V电源 供电。分辨率是指A/D转换器能分辨的最小模拟输入量,通常用能转换成的数字量 的位数来表示,如8位、10位、12位、16位等。位数越高,分辨率越高。例如, 对于8位A/D转换器,当输入电压满刻度为 5V时,其输出数字量的变化范围为 02A8-1,转

    6、换电路对输入模拟电压的分辨能力为 5V/ (2A8-1 )= 19.6mA量程是指A/D转换器所能转换的输入电压范围。如图所示为ADC08095片的封装引脚图,由图可知芯片有28只引脚,采用双列直插式的封装。各引脚功能如下:IN7IN08路模拟信号输入通道。ADC0809寸输入的模拟量要求主要为: 信号单极性,电压范围05V。ADDA ADDB ADD 3位地址线。ADDA为低位地址,ADDC为高位地址, 组成3位二进制码000111,分别选中IN0IN7。2ALE地址锁存允许信号,高电平时允许 ADDA ADDB ADDC所示当前通 道被选中,上升沿时将通道地址锁存至地址锁存器中。STAR启

    7、动转换信号。STAR上升沿时,所有内部寄存器清 0; STARTF降沿时,开始进行A/D转换。在A/D转换期间,START保持低电平。EOCA/D转换结束信号。EOC=0正在进行转换;E0C=1 A/D转换完毕, 常用作中断申请信号。0E输出允许信号,高电平有效,用来打开三态输出锁存器。 OE=0输出数据线呈高阻态;0E=1输出转换得到的数据。CLOC外部时钟脉冲输入端。ADC080叭部没有时钟电路,所需时钟信号 由外界提供,要求频率范围10KHl 1.5MHz,D7D0数据输出线。为三态缓冲输出形式。Vcc 单+ 5V电源。GND 接地。ALE如图所示,为ADC0809T作时序图。IN0IN

    8、7是模拟信号的输入端,通过 ADDC ADDB ADDA地址选择信号来选择模 拟信号具体从哪个端口输入,当 ALE产生上升沿,地址信号就存入地址寄存器, 下降沿时则开始A/D转换;EOC为低电平时表示A/D转换进行中,高电平时表示 A/D转换结束;OE位低电平时,输出数据线高阻态,当 3OE出现高电平,贝U打 开三态输出锁存器,输出八位数据 D7DdCPLD 控制ADC采样状态图COM PROCESS(cs,EOC)BEGIN - 主控进程,规定各状态转换方式CASE cs ISWHEN st0=ALEv=0;STARTv=LOCKv=OE=next_state 1= st2; - 启动采样W

    9、HEN st2= ALEv=IF (E0C=1) THEN next_state = st3;ELSE next_state O= st4; - 开启OE,输出转换好的数据WHEN st4=OEv=1; next_state ALESTARTLOCK= stO;END CASE ;END PROCESS COM;2、模拟电压的计算电压值=采样值/255*参考电压 取小数点后两位DIV_TEMP= REGL * OOOOO1O1= REGL*5V(参考电压)REGL_T1 = DIV_TEMP(15 DOWNTO 8); /255*5 电压整数部分DIV_TEMP1 = DIV_TEMP( 7

    10、DOWNTO O)*OOOO1O1OREGL_T2 = DIV_TEMP1( 15 DOWNTO 8); 小数点后第一位DIV_TEMP2 =DIV_TEMP( 7 DOWNTO O)*OO11OO1OREGL_T3 BT_T=11111OO11111O11O111O11 NULL;BT = BT T;A = REGL_T3(3 DOWNTO);- 数码管位选 DS1= REGL_T2(3 DOWNTO);- 数码管位选 DS2= REGL_T1(3 DOWNTO);- 数码管位选 DS3CLOCKSTARTEOCOE功能有效OA/D开始转换1转换结束允许数据输出3、显示控制及驱动模块采用LE

    11、D数码管,采用数码管动态显示,数码管具有结构简单、低损耗、 寿命长、耐老化、成本低、对外界要求低、易于维护、操作简单,编程简单等优 点。但是也有一个明显的缺点,即显示的信息量非常有限,只能显示几个有限的 阿拉伯数字与字母,电路图如图1.3.1所示。图1.3.八段发光二极管显示器原理结电压值的显示:最常用到的二进制转换成BCD码的方法是用除法。先用得到 的16位二进制数除以10000,得到的商就是模拟电压值的整数部分(模拟电压 的输入为0-5V,所以整数部分只有1位),得到的余数是模拟电压值的小数部 分;接下来用余数除以1000,商是十分位,余数作为被除数再除以100,商为百 分位,余数再除以1

    12、0,商为千分位。这样就将16位的二进制数转换成了 4位BCD 码。电压值的显示可由数码管实现。本系统选用了三个数码管显示。整个电路十分简 洁。系统工作过程首先由FPGA对ADC0809及数码管进行初始化,然后当有输入 信号V i,由FPGAffi过接口向ADC0809控制信号控制字,使其对输入的模拟信 号进行转换,变为8位的数字信号送到输出端,由FPGA经过码制变换等处理后, 再通过与数码管的接口驱动并发送数据。当 ADC0809采样完成后,由码字变换模块将采样数字信号转换为数码管段码,实现显示。ADC0809引脚连接五、软件设计1、开发软件及编程语言简介系统采用硬件描述语言 VHDL按模块化

    13、方式进行设计,并将各模块集成于 FPGA芯片中,然后通过Quartus II软件开发平台对设计文件自动地完成逻辑编译、 逻辑化简、综合及优化、逻辑布局布线、逻辑仿真,最后对 FPGA芯片进行编程,实现系统的设计要求。采用VHDL超高速集成电路硬件描述语言设计复杂数字电路的方法具有很多 优点,VHDL语言的设计技术齐全、方法灵活、支持广泛。VHDL语言的系统硬件描述能力很强,具有多层次描述系统硬件功能的能力, 可以从系统级到门级电路,而且高层次的行为描述可以与低层次的 RTL描述混合 使用。VHDL在描述数字系统时,可以使用前后一致的语义和语法跨越多层次, 并且使用跨越多个级别的混合描述模拟该系

    14、统。因此,可以对高层次行为描述的 子系统及低层次详细实现子系统所组成的系统进行模拟。2、程序流程图LCD显示图3.2.1 系统程序流程图3、结论数码管显示电压值2.51V1.26V0.33V万用表测得电压值2.447V1.221V0.320V六、总结设计的收获与体会这次课程设计综合了数电学习的大部分内容, 是对我数电知识的一次综合的考 量。此次设计不仅考量了我们对数电知识的掌握, 同时还考验了我们个人的独自 分析问题,解决问题的能力, 同时还让我们了解了在接到一个项目后应该如何去 分析,解决问题,如何完成项目开发出产品。在这设计中,我很快便完成了电路 的模块设计与连接, 并且在一段时间以后解决了部分的设计错误。 但在检查最后 一个连接错误时,我却花费了和先前进行所有步骤所用时间的总和一样多的时间 来检查这种连接的低级错误, 由此可以看出, 我们不管在做什么事情是, 都不要 盲目的自信自己不会出现低级错误, 低级错误永远都最致命的错误, 往往会让你 付出大量的时间与代价去改正这个错误。附录 1:源程序清单


    注意事项

    本文(EDA数字电压表设计报告Word文档下载推荐.docx)为本站会员主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2022 冰点文档网站版权所有

    经营许可证编号:鄂ICP备2022015515号-1

    收起
    展开