欢迎来到冰豆网! | 帮助中心 分享价值,成长自我!
冰豆网
全部分类
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • 党团工作>
  • ImageVerifierCode 换一换
    首页 冰豆网 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    四位二进制加法计数器课程设计.docx

    • 资源ID:26687601       资源大小:647.50KB        全文页数:21页
    • 资源格式: DOCX        下载积分:10金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要10金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    四位二进制加法计数器课程设计.docx

    1、四位二进制加法计数器课程设计成 绩 评 定 表学生姓名郝晓鹏班级学号1103060129专 业通信工程课程设计题目四位二进制加法计数器评语组长签字:成绩日期 20 年 月 日课程设计任务书学 院信息科学与工程学院专 业通信工程学生姓名 郝晓鹏班级学号1103060129课程设计题目四位二进制加法计数(缺0010 0011 1101 1110)实践教学要求与任务: 1、了解数字系统设计方法。2、熟悉VHDL语言及其仿真环境、下载方法。3、熟悉Multisim仿真环境。4、设计实现四位二进制加计数(缺0010 0011 1101 1110)工作计划与进度安排:第一周:熟悉Multisim及Quar

    2、tusII环境,练习数字系统设计方法。包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计方法的优缺点第二周:1.在QuartusII环境中仿真实现四位二进制加计数( 缺0100 0101 1001 1010 )。 2.在Multisim环境中仿真实现四位二进制加计数,缺(0100 0101 1001 1010),并通过虚拟仪器验证其正确性。 指导教师: 201 年 月 日专业负责人:201 年 月 日学院教学副院长:201 年 月 日摘 要本文采用在MAXPLUS环境中用VHDL语言实现四位二进制加法计数(缺0010 0011 1101 1110),在仿真器上显示结果波形,

    3、并下载到目标芯片上,在实验箱上观察输出结果。在Multisim环境中仿真实现四位二进制加法计数器(缺0010 0011 1101 1110),并通过虚拟仪器验证其正确性。 关键词:MAXPLUS环境;VHDL语言;四位二进制加计数;Multisim环境一 课程设计目的(1)熟悉Multisim环境及Quartus环境,练习数字系统设计方法,包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计方法的优缺点。(2)在Quartus环境中用VHDL语言实现四位二进制加计数器(缺0010 0011 1101 1110)的建立,在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察

    4、输出结果。(3)在Multisim环境中仿真实现四位二进制加计数器(缺0010 0011 1101 1110)的建立,并通过虚拟仪器验证其正确性。二 课设题目实现框图 在本课程设计中,四位二进制加计数用四个CP下降沿触发的JK触发器实现,其中有相应的跳变,即跳过了0010 0011 1101 1110四个状态,这在状态转换图中可以清晰地显示出来。 图2 状态转换图三 实现过程 1.VHDL 1.1建立工程 启动QUARTUS后的界面如图3-1所示,首先需要创建一个工程,具体操作过程如下: 图3-1 QUARTUS软件的启动界面(1)点击File New Project Wizard创建一个新工

    5、程,系统显示如图3-2; 图3-2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3-3所示; 图3-3 输入工程名称、存储目录 (3)点击Next,若目录不存在,系统可能提示创建新目录,如图3-4所示,点击“是”按钮创建新目录,系统显示如图3-5所示; 图3-4 提示是否创建新文件夹(4)系统提示是否需要加入文件,在此不添加任何文件,点击Next,进入设备选择对话框,如图3-6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8; 图3-5 提示是否添加文件 图3-6 芯片型号选择(5)点击Next,系统显示如图3-7,提示

    6、是否需要其他EDA工具,这里不选任何其他工具;(6)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图3-8,在窗口左侧显示出设备型号和该工程的基本信息等。 图3-7 提示是否利用其他EDA设计工具 图3-8 工程阐述汇总1.2VHDL源程序 (1)点击File-New创建一个设计文件,选择设计文件的类型为VHDL File系统显示如图3-9,点击OK,系统显示如图3-10,窗口右侧为VHDL的编辑窗口; 图3-9 创建一个设计文件 图3-10 新建的一个VHDL源文件的编辑窗口(2)在编辑窗口中编辑以下程序: LIBRAR

    7、Y IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity zs_2 isPORT(cp,r:IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);end zs_2;ARCHITECTURE Behavioral OF zs_2 ISSIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(cp,r)BEGINif r=0then count=0000; elsiF cpEVENT AND cp=1THEN if

    8、 count=0001THEN count=0100; elsif count=1100THEN count=1111; ELSE count=count+1; END IF; end if; END PROCESS; qStart Compilation编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图3-12所示: 图3-12 编译结果显示(2)建立时序仿真文件,如图3-9所示,选择“Vector Waveform File”,出现图 3-13的界面,在Name空白处击右键,InsertInsert Node or Bus。 图 3-13 图 3-14 在图3-14中单击 图

    9、3-15在图3-15中单击,再单击 OKOK,为仿真输入赋值。如想赋值1,单击,仿真文件存盘时,文件名字必须与顶层文件同名,即zs_2,默认即可。(3)单击菜单进行仿真,仿真结果如图3-16 图3-16图3-17仿真波形1.4引脚锁定及下载 图3-18 引脚锁定 图3-19 引脚下载1.5仿结果分析由图可知波形图中由2跳到7,再由7跳到9。因为我的题目是四位二进制加法计数器(缺0011,0100,0101,0110,1000),所以波形仿真图波形跳变过程符合开始的设计框图。2. 电路设计 2.1设计原理 1.选择触发器 选用由于JK触发器功能齐全、使用灵活,在这里选用4个CP上升沿触发的边沿J

    10、K触发器。 2.求时钟方程、状态方程 (1)求时钟方程采用同步方案,故取CP0=CP1=CP2=CP3=CPCP是整个要设计的时序电路的输入时钟脉冲 (2)求状态方程 由图2所示状态转换图可直接画出如图3-20所示的电路次Q3n+1Q2n+1Q1n+1Q0n+1的卡诺图,再分解开便可以得到如图3-21所示的各触发器的卡诺图。Q1nQ0nQ3nQ2n000111100000010010XXXXXXXX010101011010000111111111XXXX0000XXXX101001101011001011 图3-20 次态Q3n+1Q2n+1Q1n+1Q0n+1的卡诺图 Q1nQ0nQ3nQ2

    11、n000111100000XX010010111X0X101111 (a)Q3n+1的卡诺图Q1nQ0nQ3nQ2n000111100001XX011101111X0X100010 (b)Q2n+1的卡诺图Q1nQ0nQ3nQ2n000111100000XX010101111X0X100101 (c)Q1n+1的卡诺图Q1nQ0nQ3nQ2n000111100010XX011001111X0X101001 (d)Q0n+1的卡诺图 图3-21 各触发器的卡诺图 根据卡诺图进行相应化简即得到状态方程,如下:3.求驱动方程JK触发器的特性方程为与特性方程做比较,可得2.2基于Multisim设计电

    12、路图根据所选用的触发器时钟方程、输出方程、驱动方程,便可以画出如图3-22所示的逻辑电路图。 图3-22 逻辑电路图2.3逻辑分析仪显示的波形 图3-23 逻辑分析仪显示的波形2.4仿结果分析 Multisim是一种虚拟仪器,可以用来验证电路设计的正确性。根据相关计算,得出时序电路的时钟方程、状态方程、驱动方程,从而选择合适的触发器来连接实现。本设计中,选用四个时钟脉冲上升沿触发的JK触发器来实现四位二进制加法计数器。逻辑电路图中,四个小红灯即为显示器,从右到左显示时序图中的11种状态,其中,灯亮表示“1”,灭表示“0”,从而达到计数的目的。由于其中缺少0010 0011 1101 1110四

    13、种状态,所以在计数过程中会发生跳变,即从0001跳到0100,再从1100跳到1111,周而复始。逻辑分析仪类似于ISE环境下的波形仿真,是对计数器的另一种直观的描述。其中,高电平表示“1”,低电平表示“0”,也可以对计数器的功能进行测试及检验。 四 设计体会在本次数字电路课程设计之中,我下载了QuartusII和Multisim软件,花费许多时间回顾课本知识以及查阅资料,在两种环境下反复进行练习。由于是第一次接触数字电路的课程设计,所以我一开始对于相关设计过程和分析方法并不太熟练。没有形成一种逻辑分析技巧,但经过我反反复复的练习和计算,最终还是熟练掌握了对此的计算步骤以及设计方法,这一点很值

    14、得欣慰,通过本次数字电路课程设计,让我对数字电路设计有了更高层次的认识和掌握,我想这对于我以后学习相关的课程以及进行类似的设计操作会有很大的帮助,为我更深一步掌握数字电路奠定了很好的基础。在初步设计过程中,我也出现了各种各样的问题,有些是由于我的粗心引起的,还有一些是因为我没掌握好数字的相关知识,比如在设计过程中求驱动方程,由于知识掌握不扎实出了好几次错误,但通过我不懈的努力和耐心的练习,最终求出了驱动方程,画出了逻辑电路图并且测试成功,还较好地掌握了数字电路的知识,并且很快完成了数字电路课程设计,顺利的通过了考核。由此,我体会到,对于数字电路课程设计先要掌握好课本上的知识,还要有反复练习和理

    15、解相关原理的坚持和耐心,最终才会顺利完成本次课程设计。通过本次数字电路课程设计,让我掌握了好多以前不知道的知识,这些收获一定会对我以后的学习和操作有很大的帮助。五 参考文献 (1) 阎石 主编。数字电子技术基础(第5版),北京:高等教育出版社,2006.05。(2)余孟尝 主编。数字电子技术基础简明教程,北京:高等教育出版社,2006.07。(3)候建军编。数字电子技术基础(第2版),北京:高等教育出版社,2007.12。(4)李春茂 改编。电子技术基础-Electronics(英文改编版),北京:电子工业出版社,2005.08。(5)弗洛伊德(Floyd,T.L.)(美)著,余璆 改编。Digital Fundamentals (Ninth Edition),北京:电子工业出版社,2008.05。(6)罗杰 主编,电子技术基础(数字部分 第5版)习题全解,北京:高等教育出版社,2008.1。


    注意事项

    本文(四位二进制加法计数器课程设计.docx)为本站会员主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2022 冰点文档网站版权所有

    经营许可证编号:鄂ICP备2022015515号-1

    收起
    展开