欢迎来到冰豆网! | 帮助中心 分享价值,成长自我!
冰豆网
全部分类
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • 党团工作>
  • ImageVerifierCode 换一换
    首页 冰豆网 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    存储器系统6116.docx

    • 资源ID:7119393       资源大小:125.39KB        全文页数:25页
    • 资源格式: DOCX        下载积分:12金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要12金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    存储器系统6116.docx

    1、存储器系统6116第4章 存储器系统引入:电子运算机是20世纪人类最伟大的发明之一。随着运算机的普遍应用,人类社会生活的方方面面都发生了庞大的转变。专门是微型运算机技术和网络技术的高速进展,运算机慢慢走进了人们的家庭,正改变着人们的生活方式。运算机慢慢成为人们生活和工作不可缺少的工具,把握运算机的利用也成为人们必不可少的技术。本章知识要点:1)存储器的分类和三层体系结构2)RAM、ROM芯片的结构、工作原理3)存储器的扩展方式4)高速缓冲存储器技术5)虚拟存储器技术6)存储爱惜 存储器概述 存储器的分类在运算机的组成结构中,有一个很重要的部份,确实是存储器。存储器是一种经历部件,是用来存储程序

    2、和数据的,关于运算机来讲,有了存储器,才有经历功能,才能保证正常工作。存储器的种类很多,经常使用的分类方式有以下几种。一、按其用途分(1)内存储器内存储器又叫内存,是主存储器。用来存储当前正在利用的或常常利用的程序和数据。CPU能够对他直接访问,存取速度较快。(2)外存储器外存储器又叫外存,是辅助存储器。外存一般是磁性介质或光盘,像硬盘,软盘,磁带,CD等,能长期保留信息,而且不依托于电来保留信息,可是由机械部件带动,速度与CPU相较就显得慢的多。外存的特点是容量大,所存的信息既能够修改也能够保留。存取速度较慢,要用专用的设备来治理。运算机工作时,一样由内存ROM中的引导程序启动程序,再从外存

    3、中读取系统程序和应用程序,送到内存的RAM中,程序运行的中间结果放在RAM中,(内存不够是也能够放在外存中)程序的最终结果存入外部存储器。二、按存储介质分(1)半导体存储器初期的半导体存储器,普遍采纳典型的晶体管触发器和一些选择电路组成的存储单元。现代半导体存储器多为用大规模集成电路工艺制成的必然容量的芯片,再由假设干芯片组成大容量的存储器。半导体存储器又分为双极型半导体存储器和MOS型半导体存储器。(2)磁表面存储器再金属或非金属基体的表面上,涂敷一层磁性材料作为记录介质,这层介质称为磁层。工作时,磁层随机体高速运动,用磁头再磁层上进行读写操作。如磁盘存储器和磁带存储器。其特点是:存储容量大

    4、,价钱低,但结构为机电组合,工艺复杂、存取速度慢,要紧用做辅助存储器。(3)光存储器。如CD,VCD,MO,MD,DVD。三、按存取方式分(1)随机存取存储器随机存取存储器简称RAM,也叫做读/写存储器,既能方便地读出所存数据,又能随时写入新的数据。RAM的缺点是数据的易失性,即一旦掉电,所存的数据全数丢失。(2)只读存储器只读存储器通常简写为ROM。ROM寄存的数据一样不能用简单的方式对其内容进行改写,正常利历时要紧对其进行读取操作,ROM还具有掉电后其内部信息不丢失的特点(通常叫非易失性),一样用于寄存一些固定的数据或程序,其一样在器件生产出厂前由生产厂家将内容直接写入在器件中。(3)顺序

    5、存取存储器访问存储器所用的时刻由被访问数据所处的物理位置来决定。例如,在磁带存储器中,访问记录在磁带末尾的数据要比访问记录在磁带头部的数据花费更多的时刻。(4)直接存取存储器直接存取存储器如磁盘存储器和光盘存储器等,访问每一个存储单元的时刻可能不相同,但也不象磁带存储器那样,完全由被访问数据所处的物理位置来决定。磁盘、光盘等能够在两个方向上同时寻址,实际的访问时刻与发出读写命令时磁头所处的位置等因素有关。四、按信息可保留性分(1)易失性存储器断电后,存储的信息将消失的存储器。RAM是易失性存储器。(2)非易失性存储器断电后,存储的信息仍然保留再存储器中,称为非易失性存储器,ROM,FLASH和

    6、磁性材料存储器为非易失性存储器。存储体系与层次结构一、访存的局部性原理运算机对存储器的要求是高速度、大容量、低价钱。从大量的统计中取得的一个规律是,程序中关于存储空间90%的访问局限于存储空间的10%的区域中,而另外10%的访问那么散布在存储空间的其余90%的区域中。这确实是通常说的局部性原理。访存的局部性规律包括两个方面:(1)时刻局部性:若是一个存储项被访问,那么可能该项会专门快被再次访问。 (2)空间局部性:若是一个存储项被访问,那么该项及其临近的项也可能专门快被访问。 二、存储器的层次结构人们为了解决存储器容量和速度的矛盾,应用了访问局部性原理,把存储体系设计成为层次化的结构以知足利用

    7、要求。存储体系结构包括不同层次上的存储器,通过适当的硬件、软件有机地组合在一路形成运算机的存储体系结构。此刻大多数人都将高性能运算机的存储体系结构描述成如图4-1所示的三层存储器层次结构。三级存储结构是高速缓存(Cache)、主存储器(MM)和辅助存储器(外存)。也有人将存储器层次分为四层,是将CPU内部的寄放器也看做是存储器的一个层次。即是由寄放器、高速缓存(Cache)、主存(内存)、外存组成。其中寄放器是最高层次的存储部件,容量最小,速度最快。寄放器对程序员是不透明的,对它的访问需按寄放器名访问而不是按地址。有一些简单的运算机没有高速缓存(Cache),那么如此的运算机的存储体系就剩下主

    8、存和辅存两个层次。(1)主存储器用来寄存需CPU运行的程序和数据。用半导体RAM组成,常包括少部份ROM。可由CPU直接编程访问,采取随机存取方式,即:可按某个随机地址直接访问任一单元(不需顺序寻觅),存取时刻与地址无关。存储容量较大,经常使用字节数表示,有时也用单元数位数表示。速度较快,以存取周期表示。 (2)Cache位于CPU与主存之间(有些Cache集在CPU芯片当中),用来寄存当前运行的程序和数据,它的内容是主存某些局部区域(页)的复制品。它用快速的半导体RAM组成,采取随机存取方式。存储容量较小而速度最快。 (3)外存储器用来寄存暂不运行但需联机寄存的程序和数据。用磁盘、光盘、磁带

    9、等组成,磁盘用于需频繁访问场合,光盘目前多用于提供系统软件,而磁带多用于较大系统的备份。CPU不能直接编址访问外存,而是将它看成外围设备挪用。磁带采取顺序存取方式。磁盘与光盘采取直接存取(半顺序)方式,先直接定位到某个局部区域,再在其中顺序存取。外存容量能够专门大,以字节数表示。存储器的性能指标一、存储容量由于一样存储器都采纳一维线性编址,存储器中的每一个能够寄存数据的单元都被给予一个地址,因此,简单地说,存储容量(Memory Capacity)是指存储器中所具有的存储单元的个数,或所具有的地址个数。表示存储器容量的单位要紧有字节(Byte),简写为B;位(bit),简写为b;字(Word)

    10、,简写为W。其中,最经常使用的单位是字节B,一个字节由8位组成,即1B8b。关于32位运算机系统,一个字有32位,即1W32b8B。因为存储器的容量一样都专门大,因此,要用千(Kilo)、兆(Mega)、千兆(Giga)、兆兆(Tera)等单位来表示。二、存取时刻存取时刻又称为存储器访问时刻,是指启动一次存储器操作到完成该操作所需的时刻。写入时为存数时刻,读出时为取数时刻。用TA表示。(1)取数时刻Tr:从向存储器发出读操作命令到数据从存储器中读出所经历的时刻。(2)存数时刻Ta:从启动一次访问存储器操作到完成该操作所经历的时刻,那个地址所说的访问存储器操作包括读操作、写操作、互换操作等。三、

    11、存储周期存储周期又称为访问周期,是指持续启动两次独立的存储器操作所需距离的最小时刻。用TMC表示。存储周期的倒数,称为存储器速度(用S表示)。它表示每秒从存储器进出信息的最大数量,其单位用字/秒或字节/秒表示。四、靠得住性存储器的靠得住性用平均故障时刻MTBF来描述,它能够明白得为两次故障之间的平均时刻距离。MTBF越长,说明存储器的靠得住性越高。五、性能价钱比性能价钱比是衡量存储器经济性能好坏的综合性指标。存储器的价钱通经常使用每位的价钱来表示,例如每位多少美分($c/bit)。目前,静态存储器的价钱大致为10-4$c/bit,动态存储器的价钱大致为10-7$c/bit,磁表面存储器的价钱大

    12、致为10-10$c/bit。 衡量存储器的性能还能够有其他一些参数,例如功耗。目前,功耗已经成为提高存储器性能的一个重大障碍。大伙儿明白,芯片集成度提高得专门快,于是,芯片的散热就成了大问题。因此,降低存储器芯片的功耗是当前一个重大得研究课题。通常,存储器的维持功耗要远远低于工作功耗,因此,当外部不访问存储器时,存储器一样处于维持状态,以减小功耗,降低存储器的温度。 主存储器主存储器又称内存,通常由半导体存储器组成。通用微型运算机的主存包括只读存储器ROM(Read Only Memory)和随机存取存储器RAM (Read Only Memory)。其中ROM支持大体的监控和输入输出治理,R

    13、AM那么面向用户。随机存取存储器RAMRAM确实是咱们平常所说的内存,要紧用来寄存各类现场的输入、输出数据,中间计算结果,和与外部存储器互换信息。它的存储单元依照具体需要能够读出,也能够写入或改写。一旦关闭电源或发生断电,其中的数据就会丢失。此刻的RAM多为MOS型半导体电路,它分为静态(SRAM)和动态(DRAM)两种。静态RAM是靠双稳态触发器来经历信息的;动态RAM是靠MOS电路中的栅级电容来经历信息的。由于电容上的电荷会泄漏,需要按时给予补充,因此动态RAM需要设置刷新电路。但动态RAM比静态RAM集成度高、功耗低,从而本钱也低,适于作大容量存储器。因此主内存通常采纳动态RAM,而高速

    14、缓冲存储器(Cache)那么利用静态RAM。另外,内存还应用于显卡,声卡及CMOS等设备中,用于充当设备缓存或保留固定的程序及数据。动态RAM按制造工艺的不同,又可分为动态随机存储器(Dynamic RAM)、扩展数据输出随机存储器(Extened Data Out RAM)和同步动态随机存储器(Sysnchromized Dynamic RAM)。一、SRAM的组成SRAM由存储器、地址译码电路、读写操纵电路、输入/输出操纵电路和片选操纵组成。如图4-2所示。(1)存储体半导体存储体由多个大体存储电路组成,每一个大体存储电路对应一个二进制数位。SRAM中的每一名均存储在四个晶体管当中,这四个

    15、晶体管组成了两个交叉耦合反向器。那个存储单元具有两个稳固状态,通常表示为0和1。另外还需要两个访问晶体管用于操纵读或写操作进程中存储单元的访问。因此,一个存储位通常需要六个MOSFET。对称的电路结构使得SRAM的访问速度要快于。一个大体存储电路只能存储一个二进制位。将大体的存储电路有规那么地组织起来,确实是存储体。存储体是存储单元的集合。在较大容量的存储器中,往往把各个字的同一名组织在一个集成片中。如图中的40961位,是指4096个字的同一名。由如此的16个片子那么可组成409616的存储器。同一名的这些字排成矩阵,如64644096。由X(行线)和Y(列线)的交叉来选择所需要的单元。(2

    16、)地址译码电路地址译码器的输入信息来自CPU的地址寄放器。地址寄放器用来寄存所要访问(写入或读出)的存储单元的地址。CPU要选择某一存储单元,就在地址总线上输出此单元的地址信号给地址译码器。地址译码器把用二进制代码表示的地址转换成输出端的高电位,用来驱动相应的读写电路,以便选择所要访问的存储单元。地址译码有两种方式:一种是单译码方式,适用于小容量存储器;另一种是双译码方式,适用于大容量存储器。单译码结构也称字结构。在这种方式中,地址译码器只有一个,译码器的输出叫字选线,而字选线选择某个字(某存储单元)的所有位。例如,地址输人线n4,经地址译码器译码,可译出2416个状态,别离对应16个字地址。

    17、为了节省驱动电路,存储器中通常采纳双译码结构。采纳双译码结构,能够减少选择线的数量。在这种译码方式中,地址译码器分成X向和Y向两个译码器。假设每一个有n2个输入端,它能够译出2 n/2个输出状态,那么两个译码器交叉译码的结果,共可译出2 n22 n22n个输出状态,其中n为地址输入量的二进制位数。但现在译码输出线却只有 2 2 n2根。例如n12,双译码输出状态为2124096个,而译码线仅只有2 26128根。 (3)读写操纵电路存储器的大体操作是读操作和写操作,访问SRAM时,对被选中的寄放器,究竟是读仍是写,通过读/写操纵线进行操纵。若是是读,那么被选中单元存储的数据经数据线、输入/输出

    18、线传送给CPU;若是是写,那么CPU将数据通过输入/输出线、数据线存入被选中单元。一样SRAM的读/写操纵线高电平为读,低电平为写;也有的RAM读/写操纵线是分开的,一根为读,另一根为写。(4)输出驱动电路RAM通过输入/输出端与运算机的中央处置单元(CPU)互换数据,读出时它是输出端,写入时它是输入端,即一线二用,由读/写操纵线操纵。输入/输出端数据线的条数,与一个地址中所对应的寄放器位数相同,例如在10241位的RAM中,每一个地址中只有1个存储单元(1位寄放器),因此只有1条输入/输出线;而在2564位的RAM中,每一个地址中有4个存储单元(4位寄放器),因此有4条输入/输出线。也有的R

    19、AM输入线和输出线是分开的。RAM的输出端一样都具有集电极开路或三态输出结构。(5)片选操纵由于受RAM的集成度限制,一台运算机的存储器系统往往是由许多片RAM组合而成。CPU访问存储器时,一次只能访问RAM中的某一片(或几片),即存储器中只有一片(或几片)RAM中的一个地址同意CPU访问,与其互换信息,而其他片RAM与CPU不发生联系,片选确实是用来实现这种操纵的。通常一片RAM有一根或几根片选线,当某一片的偏选线接入有效电平常,该片被选中,地址译码器的输出信号操纵该片某个地址的寄放器与CPU接通;当片选线接入无效电平常,那么该片与CPU之间处于断开状态。(6)RAM的输入/输出操纵电路图4

    20、-3给出了一个简单的输入/输出操纵电路。被选片信号CS1时,G五、G4输出为0,三态门G一、G二、G3均处于高阻状态,输入/输出(I/O)端与存储器内部完全隔离,存储器禁止读/写操作,即不工作。当CS0时,芯片被选通:当1时,G5输出高电平,G3被打开,于是被选中的单元所存储的数据出此刻I/O端,存储器执行读操作;当0时,G4输出高电平,G一、G2被打开,现在加在I/O端的数据以互补的形式出此刻内部数据线上,并被存入到所选中的存储单元,存储器执行写操作。二、SRAM的容量扩展目前生产的存储器芯片的容量是有限的,它在字数或字长方面与实际存储器的要求都有差距,因此需要在字向和位向两方面进行扩充才能

    21、知足实际存储器的容量要求,通常采纳位扩展法、字扩展法、字位同时扩展法。 (1)位扩展法假定利用8Kl的RAM存储器芯片,那么组成8K8位的存储器,可采纳图4-4所示的位扩展法。现在只加大字长,而存储器的字数与存储器芯片字数一致。图中,每一片RAM是81921,故其地址线为13条(A0A12),可知足整个存储体容量的要求。每一片对应于数据的1位(只有1条数据线),故只需将它们别离接到数据总线上的相应位即可。在这种方式中,对片子没有选片要求,确实是说片子按已被选中来考虑。若是片子有选片输入端,可将它们直接接地。在这种连接时,每一条地址总线接有8个负载,每一条数据线接有一个负载。(2)字扩展法字扩展

    22、是仅在字向扩充,而位数不变,因此将芯片的地址线、数据线、读写操纵线并联,而由片选信号来区分各片地址,故片选信号端连接到选片译码器的输出端。图4-5是用16K8位的芯片采纳字扩展法组成64K8位的存储器连接图。图中4个芯片的数据线与数据总线D一D相连,地址总线低位地址A一A与各芯片的14位地址端相连,两位高位地址A14,A经译码器和4个片选端相连。 (3)字位同时扩展法用容量为lk位的存储芯片设计容量为MN位的存储器(lM,kN,需要字向、位向同时进行扩展。共需存储芯片数为:( M / l ) ( N / k )。例:用256K8位的存储芯片设计容量为2048K32位的存储器。 解:需存储芯片数

    23、为:(2048K / 256K) (32 /8)= 32(片) 由每组四片存储芯片完成位扩展;八组如此的存储芯片完成字扩展,参考图4-6。 三、DRAM的组成动态随机访问存储器(DRAM)是一种随机访问经历体(RAM),可不能一直保留经历内容,随着时刻而将内容流失。DRAM用于通常的数据存取。咱们常说内存有多大,主若是指DRAM的容量。DRAM中的每一名数据均存储于一个电容当中,贮存在电容中的电子的数量决定了该位数据对应的是1仍是0。由于DRAM的存储单元由电容组成,相关于来讲,它占用的面积也更小,从而其价钱也更廉价。电容老是趋向于释放电荷,因此DRAM还需要周期性的刷新操作,不然数据就会丢失

    24、。正是因为DRAM需要周期的刷新操作,因此相关于静态()来讲,DRAM是动态的。另外,当DRAM的电源供给停止后,存储于其中的数据会丢失,因此,DRAM是一种非永久性存储器(易失性存储器)。DRAM中的电容通常被组织成一个正方阵列,那个阵列由假设干行和假设干列组成,其中的每一个电容都能够看成是阵列的一个单元,这些单元通过阵列的行和列的地址译码器来寻址。关于任何一个单元的读操作,整个行均被读出,然后从头写回(刷新)。关于任何一个单元的写操作,整个行均被读出,然后改变其中一个单元的值,最后将整个行写回。典型情形下,DRAM的制造厂家规定DRAM的每一行必需在64ms之内执行一次刷新操作。通常利用刷

    25、新逻辑来自动完成刷新操作,这使得DRAM的实现加倍复杂,但由于其价钱廉价、容量大,因此它在某些应用处合比加倍具有吸引力。四、DRAM的电器特性(1)集成度高,功耗低 (2)具有易失性,必需刷新。 (3)破坏性读出,必需读后重写 (4)读后重写,刷新均经由刷新放大器进行。 (5)刷新时只提供行地址,由各列所拥有的刷新放大器,(6)对选中行全数存储细胞实施同时集体读后重写(再生)。五、DRAM的刷新动态MOS存储器采纳“读出”方式进行刷新。因为在读出进程中恢复了存储单元的MOS栅极电容电荷,并维持原单元的内容,因此读出进程确实是再生进程。通常,在再生进程中只改变行选择线地址,每次再生一行。依次对存

    26、储器的每一行进行读出,就可完成对整个DRAM的刷新。从上一次对整个存储器刷新终止到下一次对整个存储器全数刷新一遍为止,这一段时刻距离叫刷新周期,一样为2ms,4ms或8ms。经常使用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。(1)集中式刷新方式 以2116芯片为例,假定读写周期为500 ns,那么刷新128行所需时刻为50012810364s,若是采纳集中式刷新方式,那么必需在2 ms的时刻内集顶用64s的时刻对存储器进行刷新操作,在此期间不许诺CPU或其他处置机访问存储器。(2)分散式刷新方式分散式刷新方式是每读写一次存储器就刷新一行存储元,假定存储器的读写周期为500

    27、ns,那么相当于读写周期延长为1000ns。这确实是说,每读写128次存储器就能够对128行存储元刷新一遍,其刷新的距离为128s,在2ms时刻内,能对每一个存储元刷新16遍。这显然没有必要,而且存储器访问速度因此而降低一倍。其优势是不显现“死时刻”。(3)异步式刷新方式前述两种刷新方式的结合,大体思想是将刷新操作平均分派到整个刷新距离时刻内进行。访问周期为500ns,整个芯片共128行,即2ms时刻内,只要求刷新128次,于是每行的刷新距离为: 2 ms/128 s于是将2ms时刻分成128段,每段155s,在每段内利用05s的时刻刷新一行,保证在2ms时刻内能对整个芯片刷新一遍。 这种刷新

    28、方式是把集中式刷新的64s“死时刻”分散成每155s显现05s的死时刻,这对CPU的阻碍不大,而且不降低存储器的访问速度,操纵上也并非复杂,是一种比较有效的方式。除此之外,异步式刷新还可采纳利用CPU不访问存储器的空闲时刻,对存储器进行刷新操作,这种方式完全不显现“死时刻”,也不降低存储器的访问速度,可是必需保证在2mS时刻内能刷新一遍整个芯片,不然将造成严峻后果,因此这种方式操纵比较复杂,实现起来比较困难。 六、典型RAM芯片介绍下面咱们来介绍一种典型SRAM存储器芯片HM6116。 HM6116芯片的存储容量为2K*8位,片内有16384(即16K)个存储单元,排列成128*128的矩阵,

    29、组成2K个字,字长8位,可组成2KB(B字节)的内存。该芯片有11条地址线,分成7条行地址线A4A0,4条列地址线A0A3,一个11位地址码选中一个8位存储字,需有8条数据线D0D7与同一地址的8位存储单元相连,由这8条数据线进行数据的读出与写入。(1)HM6116引脚图图4-7所示是2K8位静态CMOS RAM6116的引脚排列图。6116的24个引脚中除11条地址线(A0A10)、8条数据线(D0D7)、l条电源线Vcc和1条接地线GND外,还有3条操纵线片选信号、写许诺信号和输出许诺信号。HM6116是一种20488位的高速静态CMOS随机存取存储器,它的特点是:1)高速度。存取时刻为1

    30、00ns/120ns/150ns/200ns(别离以6116-10、6116-12、6116-15、6116-20为标志);2)低功耗。运行时为150mW,空载时为100mW;3)与TTL兼容;4)管脚引出与标准的2K*8的芯片(例如2716芯片)兼容;5)完全静态无需时钟脉冲与按时选通脉冲。6)存储容量为2K8位,该芯片有11条地址线,8条数据线。(2)芯片工作方式和操纵信号之间的关系下表所列是6116的工作方式与操纵信号之间的关系,读出和写入线是分开的,而且写入优先。表4-1 静态RAM6116工作方式与操纵信号之间的关系工作状态1高 阻 态低功耗维持001稳定输 出读00稳定输 入写只读

    31、存储器ROM一、ROM的分类只读存储器简称ROM,它只能读出,不能写入,故称为只读存储器。工作时,将一个给定的地址码加到ROM的地址码输入端,现在,即可在它的输出端取得一个事前存入的确信数据。只读存储器的最大优势是具有不易失性,即便供电电源切断,ROM中存储的信息也可不能丢失。因此ROM取得了普遍的应用。只读存储器存入数据的进程,称为对ROM进行编程。与RAM不同,ROM一样需由专用装置写入数据。依照数据写入方式特点不同,ROM可分为以下几种:(1)固定ROM。也称掩膜ROM,这种ROM在制造时,厂家利用利用掩膜技术直接把数据写入存储器中,ROM制成后,其存储的数据也就固定不变了,用户对这种芯片无法进行任何修改。(2)一次性可编程ROM(PROM)。PROM在出厂时,存储内容全为1(或全为0),用户可依照自己的需要,利用编程器将某些单元改写为0(或1)。PROM一旦进行了编程,就不能再修改了。(3)光可擦除可编程ROM(EPROM)。EPROM是采纳浮栅技术生产的可编程存储器,它的存储单元多采纳N沟道叠栅MOS管,信息的存储是通过MOS管浮栅上的电荷散布来决定的,编程进程确实是一个电荷注入进程。编程终止后,尽管撤除


    注意事项

    本文(存储器系统6116.docx)为本站会员主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2022 冰点文档网站版权所有

    经营许可证编号:鄂ICP备2022015515号-1

    收起
    展开