欢迎来到冰豆网! | 帮助中心 分享价值,成长自我!
冰豆网
全部分类
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • 党团工作>
  • ImageVerifierCode 换一换
    首页 冰豆网 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    数字式电容测量仪设计.docx

    • 资源ID:9677963       资源大小:387.56KB        全文页数:15页
    • 资源格式: DOCX        下载积分:12金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要12金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字式电容测量仪设计.docx

    1、数字式电容测量仪设计摘要随着电子技术的发展,当前数字系统的设计正朝着速度快、容量大、体积重量轻的方向发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。在日常的电路工程或者是电路试验中,电容是一个最常见的元器件,实际应用中,对电容的电容值的准确度要求也是很高的。在实际操作中,对电容的测量存在许多麻烦,数值的表现也不够直观。数字电容测试仪,只要接入被测电容,打开开关以后,就能直接在屏幕上显示出电容的大小,方便在以后的实验中对电容的使用。本设计是基于555定时器,连接构成多谐振

    2、荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容。其脉冲输入信号是555定时器构成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值围。因为单稳态触发器的输出脉宽是根据电容值的不同而不同的,所以脉宽即是对应的电容值,其精确度可以达到0.1%。然后在电路中加入一个电容和一个电阻构成的阻容平滑滤波器,将单稳态触发器输出的信号滤波,使最终输出电压与被测量的电容值呈线性关系。最后是输出电压的数字化,将输入到译码器中翻译成BCD码,输入到LED数码管中显示出来。关键字:555定时器,脉冲,LED数码管,电容第一章 引言1.

    3、1 设计背景及意义目前,随着电子工业的发展,电子元器件急剧增加,电子元器件的适用围也逐渐广泛起来,在应用中我们常常要测定电容的大小。因此,设计可靠,安全,便捷的电容测试仪具有极大的现实必要性。通常情况下,电路参数的数字化测量是把被测参数传换成直流电压或频率后进行测量。由于测量电容方法多并具有一定的复杂性,所以本设计是基于555定时器,连接构成多谐振荡器以及单稳态触发器而测量电容的。1.2 电容测试仪的发展历史及研究现状当今电子测试领域,电容测量已经在测量技术和产品研发中应用的十分广泛。电容测试发展已经很久,方法众多,常见测量方法如下。近年来我国测量仪器的可靠性和稳定性问题得到了很多方面的重视,

    4、状况有了很大改观。测试仪器行业目前已经越过低谷阶段,重新回到了快速发展的轨道,尤其最近几年,中国本土仪器取得了长足的进步,特别是通用电子测量设备研发方面,与国外先进产品的差距正在快速缩小,对国外电子仪器巨头的垄断造成了一定的冲击。随着模块化和虚拟技术的发展,为中国的测试测量仪器行业带来了新的契机,加上各级政府日益重视,以及中国自主应用标准研究的快速进展,都在为该产业提供前所未有的动力和机遇。从中国电子信息产业统计年鉴中可以看出,中国的测试测量仪器每年都以超过30%以上的速度在快速增长。在此快速增长的过程中,无疑催生出了许多测试行业新创企业,也催生出了一批批可靠性和稳定性较高的产品。1.3 本设

    5、计的要求本设计是以555为核心的振荡电路,需要达到如下要求:1、基本部分(1) 自制稳压电源。(2) 被测电容的容量在0.01F至100F围(3) 设计两个的测量量程。(4) 用3为数码管显示测量结果,测量误差小于20%。2、发挥部分(1) 至少设计两个以上的测量量程,使被测电容的容量扩大到100PF至100F围。(2) 测量误差小于10%。(3) 其它。第二章 系统方案设计电容是一个最常见的元器件,实际应用中,对电容的电容值的准确度要求也是很高的。在实际操作中,对电容的测量存在许多麻烦,数值的表现也不够直观。数字电容测试仪,只要接入被测电容,打开开关以后,就能直接在屏幕上显示出电容的大小,方

    6、便在以后的实验中对电容的使用。本设计中用555定时器连接构成多谐振荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容。其脉冲输入信号是555定时器构成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值围。因为单稳态触发器的输出脉宽是根据电容值的不同而不同的,所以脉宽即是对应的电容值,其精确度可以达到0.1%。然后在电路中加入一个电容和一个电阻构成的阻容平滑滤波器,将单稳态触发器输出的信号滤波,使最终输出电压与被测量的电容值呈线性关系。最后是输出电压的数字化,将输入到译码器中翻译成BCD码,输入到LED数码管中显示出

    7、来。原理框图如图2-1第三章 电路功能单元设计与原理利用单稳态触发器或电容器充放电规律等,可以把被测电容的大小转换成脉冲的宽窄,即控制脉冲宽度 Tx严格 与 Cx成正比只要把此脉冲与频率固定不变的方波即时钟脉冲相与,便可得到计数脉冲,把计数脉冲送给计数器计数,然后再送给显示器显示 如果时钟脉冲的频率等参数合适,数字显示器显示的数字 N便是 Cx的大小。之所以选择该方案是考虑到这个方案不仅设计比较容易实现,而且必要时还可以扩展量程,更重要的是该方案设计出来的数字测试仪测量的结果比较精确电容测试仪电路由电源电路、基准脉冲发生器、待测电容容量时间转换器、量程选择、闸门控制器、译码器和显示器等部分组成

    8、。3.1 直流稳压电源设计电网供电电压为交流220V(有效值),50Hz,要获得低压直流输出,首先须采用电源变压器将电网电压降低获得所需要的交流电压。降压后的交流电压,通过整流电路变成单向的直流电,但其幅值变化大。脉动大的直流电压须经过滤波电路变成平滑的,脉动小的直流电,即将交流成分滤掉,保留其直流成分。滤波后的直流电压再通过稳压电路,便可得到基本上不受外界影响的稳定的直流电压输出,供给负载。直流稳压电源的原理框图分析图3-1直流稳压电源框图采用电源变压器将电网220V,50Hz交流电降压后送整流电路,整流桥选用的二极管需要考虑允许承受的电压和电流值。滤波器常采用无源元件R,L,C构成的不同类

    9、型滤波电路。由于本电路为小功率电源,故可用电容输入式滤波电路。稳压电路采用7805芯片,可提高电路的稳定性。图3-2稳压电路原理图3.2 基准脉冲发生电路设计这里选用由555定时器构成的多谐振荡器来实现基准脉冲产生功能。电路原理图如图3-3: 图3-3 基准脉冲发生电路振荡波形的周期为: 其中,占空比为: 为了调整振荡周期,R3可选用不同的电阻来调节档位,控制量程。3.3 待测电容容量时间转换电路设计待测电容容量时间转换器把所测电容的容量转换成与其容量值成正比的单稳时间,实际上就是由555定时器构成単稳电路,通过在単稳电路通过基准脉冲的个数用计数器显示,再乘基准的时间久可以得到待测电容的充放电

    10、时间,由于周期与被测电容的容量成正比,所以也就知道了被测电容的容量。待测电容测试电路如图3-4: 图3-4 转换电路3.4 闸门控制电路设计闸门控制电路顾名思义就是精确计算被测电容充放电时间与基准脉冲时间的倍率,通过数码管显示出来。其电路如图3-5:图3-5 闸门控制电路每按一次AN键,被测电容就充放电一次。3.5 译码和显示电路设计译码和显示电路由cd4553、cd4543、数码管等组成,组要完成编码、计数、显示的功能。电路图如图3-6:IC4、IC2C、C5、C6、R10 构成了计数器功能,IC4 为CD4553,其12 脚是计数脉冲输入端,10 脚是计数使能端,低电位时CD4553 执行

    11、计数,13 脚是计数清零端,上升沿有效。当按动一下AN 后,IC4 的13 脚得到一个上升脉冲,计数器清零同时IC2C 的4 脚输出一个单稳低电平信号加到IC4 的10 脚,于是IC4 对从其12 脚输入的基准计数脉冲进行计数。当单稳时间结束后,IC4 的10 脚变为高电平,IC4 停止计数,最后IC4 通过分时传递方式把计数结果的个位、十位、百位由它的9 脚、7 脚、6 脚和5 脚循环输出对应的BCD 码。IC3 构成译码器驱动器,它把IC4 送来的BCD 码译成十进制数字笔段码,经R11R17限流后直接驱动七段数码管。集成电路CD4553 的15 脚、1 脚、2 脚为数字选择输出端,经R1

    12、8R20 选择脉冲送到三极管T1T3 的基极使其轮流导通,这两部分电路配合就完成了三位十进制数字显示。C7 的作用是当电源开启时在R10 上产生一个上升脉冲,对计数器自动清零。 图3-7 译码显示电路3.6 量程档位设计量程档分为五档,分别为1pF999pF、1nF9.99nF、10nF999nF、1F99.9F、100F9990F。细分可以更精确的测量定容的容量,减少误差,得到一个经济实用、准确可靠的数字电容表。详细的量程请看附录3.第四章 系统参数设定4.1 基准脉冲参数设定基准脉冲周期设定为三个时间,分别为11s、1.1ms 和11ms,不同的量程选用不同的基准脉冲周期,确定电容,根据脉

    13、冲周期T=1.1RC可得对应的电阻值,通过调节电阻值的挡位来调节脉冲周期。4.2量程档参数设定量程挡位参数设定根据待测电容容量时间转换来计算的,电阻选用10的倍数,这样有利于计算,不同的挡位选用不同倍数的电阻,周期T=1.1RC确定。需要说明的是,在使用1pF999pF 量程时,由于分布电容的影响,测量结果减去分布电容值才是被测电容的准确值。可以这样测出该电容表的量程分布电容值,把量程打在1pF999pF 档,在不接被测电容的情况下,按动一下AN 按钮,测的计数结果就是该挡的分布电容值,经实验该数值一般为10pF 左右。附表列出了各挡量程的组成关系。第五章 系统调试与测试结果5.1 基准脉冲信

    14、号的调试基准脉冲信号的调试关系到测试仪的精确度,关键是要调出11s、1.1ms 和11ms 的三种标准脉冲信号,调试时需要借助一台示波器,通过调整分别R7、R8 和R9 等三个电阻的阻值,就可方便地得到这三个脉冲信号,电路中的R7、R8、R9 的阻值是实验数据,可能存在偏差。5.2 量程档位的调试量程挡位的调试主要是要注意基准脉冲信号与定值电阻一一对应。5.3 电路测试结果与误差分析序号电容值测量值误差130pF27pF10%210nF9.2nF8%3100nF93nF7%410uF9.1uF9%54700uF4400uF6.38%通过对测试结果的分析,造成电容测试仪产生误差的因素主要有:1,

    15、基准脉冲发生器输出的脉冲波存在误差。2,定值电阻采用的1/8金属膜电阻存在误差。3,量程选取不当所产生的读取误差。第六章 总结在设计的最初阶段,由于通过资料的查阅,对各个模块的电路图有了初步的了解,所以在设计的时候能够得心应手,特别在设计计数和显示电路模块的时候,设计过程比较流畅,第一天便完成了任务。但是在接下来设计控制器电路和时钟脉冲发生器电路时,遇到了一点点的小麻烦,由于设计这两个模块需要对555集成块进行连接,但是当时对555集成块理论知识的掌握不够全面,所以设计出来以后电路有问题,不能正常工作。所以我们决定先暂停设计,翻阅课本和在图书馆借来的资料,把555集成块的结构和功能研究了一遍,

    16、最后终于成功了设计出来,这也刚好验证了那句老话“磨刀不误砍柴工”。此次毕业设计中我投入了最大的热情和精力,从设计电路图,选择元器件,使用EWB仿真电路,其过程中出现了不少的问题,我没有气馁,没有退缩,积极向老师请教,并且一遍又一遍的重复实践,直到我期望的结果实现。事实也证明我们的努力没有白费,认真严谨的实习态度给我们带来了成功的喜悦!通过多次的调试,此次设计的数字式电容测量仪圆满完成。该测量仪达到了基本的技术指标,能够较精确的测量0.01uF至100uF围的电容通过这次电子系统设计,我掌握了设计一个数字电路的基本方法和基本步骤,实际解决了设计中出现的问题,增强了寻找问题,解决问题的能力。致 通

    17、过这次毕业设计,我掌握了设计一个整个电路系统的基本方法和基本步骤,实际解决了设计中出现的问题,增强了寻找问题,解决问题的能力。此次设计的成功不仅帮助我更好地掌握书本知识,尤其重要的是增强了我的自信,培养了我独立思考的能力!通过这次设计,我感觉有很大的收获:首先,通过学习使自己对课本上的知识可以应用于实际,使的理论与实际相结合,加深自己对课本知识的更好理解,同时实习也段练了我个人的动手能力:能够充分利用书籍和网络资源查阅资料,增加了许多课本以外的知识。能对 protel 99、和 EWB等仿真软件操作,能达到学以致用。对我们学生来说,理论与实际同样重要。在这过程中,当我遇到些不能解决的问题时,我

    18、及时查阅资料以及向同学请教,使我们少走弯路,顺利完成毕业设计。另外对此次设计帮助过我的老师及同学,我对你们表示感,你们!参考文献1唐竞新.数字电子电路M.第1版.:清华大学,2003 2康华光.电子技术基础M.数字部分.第4版.:高等教育,19983电子工程手册编委会等.中外集成电路简明速查手册M-TTL,CMOS.:电子工业,19914.论数字技术J.电子报合订本.:科学技术,2002.125侯继红向东protel 99SE实用技术教程 21世纪高等学校应用型规划教材.20046电子技术基础课程设计.:高等教育.19897薛学明.稳压电源及其电路实例.:中国铁道.19908林家瑞.电子工程师

    19、指南.华中工学院.19939顾宝良.模拟集成电路原理与实用电路.人民邮电.1989附录表1 元器件清单元件清单序号名称规格数量标识备注1电阻4707R11-R17R3R9 选用1/8W 金属膜电阻29101R731K2R2,R642.4K3R18-R20510K3R1,R5,R106100K1R47150K1R881.5M1R9910M1R310电容200P3C1,C5,C6C1不应大于0.01uF,C3选用小型金属化电容114700P1C3120.01u3C2,C4,C713PNP型三极管85503T1,T2,T3143位数码管共阴1IC515双定时器NE5561IC116共阴译码驱动器CD45431IC317十进制计数器CD45531IC418四2输入与非门CD40111IC219开关单刀5掷1K120按钮开关1AN21双掷1KEY附录2 电路原理图附录3 电容测试仪量程基准脉冲周期定时电阻测量围倍率11s10M1pF999pF1pF11s100K1nF9.99nF0.1nF11s10K10nF999nF1nF1.1ms10K1F99.9F0.1uF11ms1K100F9990F10uF


    注意事项

    本文(数字式电容测量仪设计.docx)为本站会员主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2022 冰点文档网站版权所有

    经营许可证编号:鄂ICP备2022015515号-1

    收起
    展开